ushicow

Apple II やその関連コンピュータ用のハード・ソフトを作っています。

ushicow

Apple II やその関連コンピュータ用のハード・ソフトを作っています。

マガジン

  • Tang Nano 9K で Apple II を再現する

    Apple II をより詳しく知るために、FPGA に実装してみます。

記事一覧

A2PDP11 (14) バスサイクルの調整

メモリ読み出し時の、バスサイクル延長を修正し、8 サイクルで完了するように修正します。 バ…

ushicow
3週間前
2

A2PDP11 (13) BASIC の実行

先例にならい、BASIC を実行してみます。 BASIC 起動時にペーパーテープリーダ状態レジスタが…

ushicow
1か月前
4

A2PDP11 (12) バイト書き込み

バイト書き込みを試してみます。 前回の HDL コードでは、RAM からの読み出しデータを DAL に…

ushicow
1か月前
2

A2PDP11 (11) プログラムの実行

RAM の読み書きができるようになりましたので、プログラムを実行してみます。 その前に、FPGA…

ushicow
1か月前
2

A2PDP11 (10) 4MB RAM の実装

Tang Nano 9K の PSRAM を使って、RAM を 4MB に拡張します。 PSRAM のリードアクセスが遅いた…

ushicow
1か月前
4

A2PDP11 (9) 2KB RAM の実装

ALE のノイズ問題は、X でアドバイスをいただき、シールド線にしてみたところ解消しました。 …

ushicow
1か月前
2

A2PDP11 (8) コンソール ODT への入力

前回、コンソール ODT の出力ができるようになりましたが、ALE にノイズがのる現象が出ていま…

ushicow
1か月前
2

A2PDP11 (7) コンソール ODT の起動

コンソール ODT (Octal Debugging Technique) を起動し、出力を USB シリアルで読み取れるよう…

ushicow
2か月前
1

A2PDP11 (6) NXM アボートの実装

NXM (Non-existent Memory、未実装メモリ) アボートを正しく生成するようにします。 Users Gui…

ushicow
2か月前
1

A2PDP11 (5) パワーアップ構成レジスタ

初期化シーケンスを進めるために、パワーアップ構成レジスタ(Power-Up Configuration Resiste…

ushicow
2か月前
1

A2PDP11 (4) 起動シーケンスの詳細

起動シーケンスは、DCJ11 Microprocessor User’s Guide の 8.3 章に記載があります。 Figu…

ushicow
2か月前
1

A2PDP11 (3) 起動シーケンスの観察

Tang Nano 9K を使って、DCJ11 の出力信号を観察してみます。 以下の回路で、DCJ11 の出力端子…

ushicow
2か月前
1

A2PDP11 (2) DCJ11の動作確認

入手した DCJ11 が動作するか確認します。 クロックと最低限の配線を行い、電源を入れてみます…

ushicow
2か月前
1

A2PDP11 (1) Apple II PDP-11 Card の構想

これまで、The MILL、McMill Plus、AD8088 など、いくつかのコプロセッサカードのレプリカを作…

ushicow
2か月前
6

Tang Nano 9K で Apple II を再現する(17)ローレゾモード

第八章 The Video Display ローレゾモードの動作を確認します。 $800 - $BFF に $92 を書き込…

ushicow
3か月前
1

Tang Nano 9K で Apple II を再現する(16)ハイレゾモード

第八章 The Video Display ハイレゾモードの動作を確認します。 $2000 - $3FFF に $13 を書き…

ushicow
3か月前
2

A2PDP11 (14) バスサイクルの調整

メモリ読み出し時の、バスサイクル延長を修正し、8 サイクルで完了するように修正します。 バ…

ushicow
3週間前
2

A2PDP11 (13) BASIC の実行

先例にならい、BASIC を実行してみます。 BASIC 起動時にペーパーテープリーダ状態レジスタが…

ushicow
1か月前
4

A2PDP11 (12) バイト書き込み

バイト書き込みを試してみます。 前回の HDL コードでは、RAM からの読み出しデータを DAL に…

ushicow
1か月前
2

A2PDP11 (11) プログラムの実行

RAM の読み書きができるようになりましたので、プログラムを実行してみます。 その前に、FPGA…

ushicow
1か月前
2

A2PDP11 (10) 4MB RAM の実装

Tang Nano 9K の PSRAM を使って、RAM を 4MB に拡張します。 PSRAM のリードアクセスが遅いた…

ushicow
1か月前
4

A2PDP11 (9) 2KB RAM の実装

ALE のノイズ問題は、X でアドバイスをいただき、シールド線にしてみたところ解消しました。 …

ushicow
1か月前
2

A2PDP11 (8) コンソール ODT への入力

前回、コンソール ODT の出力ができるようになりましたが、ALE にノイズがのる現象が出ていま…

ushicow
1か月前
2

A2PDP11 (7) コンソール ODT の起動

コンソール ODT (Octal Debugging Technique) を起動し、出力を USB シリアルで読み取れるよう…

ushicow
2か月前
1

A2PDP11 (6) NXM アボートの実装

NXM (Non-existent Memory、未実装メモリ) アボートを正しく生成するようにします。 Users Gui…

ushicow
2か月前
1

A2PDP11 (5) パワーアップ構成レジスタ

初期化シーケンスを進めるために、パワーアップ構成レジスタ(Power-Up Configuration Resiste…

ushicow
2か月前
1

A2PDP11 (4) 起動シーケンスの詳細

起動シーケンスは、DCJ11 Microprocessor User’s Guide の 8.3 章に記載があります。 Figu…

ushicow
2か月前
1

A2PDP11 (3) 起動シーケンスの観察

Tang Nano 9K を使って、DCJ11 の出力信号を観察してみます。 以下の回路で、DCJ11 の出力端子…

ushicow
2か月前
1

A2PDP11 (2) DCJ11の動作確認

入手した DCJ11 が動作するか確認します。 クロックと最低限の配線を行い、電源を入れてみます…

ushicow
2か月前
1

A2PDP11 (1) Apple II PDP-11 Card の構想

これまで、The MILL、McMill Plus、AD8088 など、いくつかのコプロセッサカードのレプリカを作…

ushicow
2か月前
6

Tang Nano 9K で Apple II を再現する(17)ローレゾモード

第八章 The Video Display ローレゾモードの動作を確認します。 $800 - $BFF に $92 を書き込…

ushicow
3か月前
1

Tang Nano 9K で Apple II を再現する(16)ハイレゾモード

第八章 The Video Display ハイレゾモードの動作を確認します。 $2000 - $3FFF に $13 を書き…

ushicow
3か月前
2