マガジンのカバー画像

Tang Nano 9K で Apple II を再現する

17
Apple II をより詳しく知るために、FPGA に実装してみます。
運営しているクリエイター

記事一覧

Tang Nano 9K で Apple II を再現する(17)ローレゾモード

第八章 The Video Display ローレゾモードの動作を確認します。 $800 - $BFF に $92 を書き込…

ushicow
2か月前
1

Tang Nano 9K で Apple II を再現する(16)ハイレゾモード

第八章 The Video Display ハイレゾモードの動作を確認します。 $2000 - $3FFF に $13 を書き…

ushicow
2か月前
2

Tang Nano 9K で Apple II を再現する(15)テキストモード

第八章 The Video Display テキストモードの動作を確認します。 画面右端にノーマルモードで …

ushicow
3か月前
2

Tang Nano 9K で Apple II を再現する(14)ビデオディスプレイ

第八章 The Video Display 回路図 C-16 と C-20 を video_generator.sv として実装します。 …

ushicow
3か月前
2

Tang Nano 9K で Apple II を再現する(13)ゲーム I/O

第七章 On-Board I/O ゲームスイッチ SW0、SW1、SW2 は、抵抗で 5V を 3.3V に分圧し Tang Na…

ushicow
3か月前
2

Tang Nano 9K で Apple II を再現する(12)カセットテープ & スピーカー

第七章 On-Board I/O カセットテープ出力 data_out は、抵抗により 3.3V から 32mV に分圧し…

ushicow
3か月前
2

Tang Nano 9K で Apple II を再現する(11)キーボード

第七章 On-Board I/O USB シリアルをパラレル信号に変換する Arduino プログラム A2Keyboard.ino を作成します。 Arduino の D2 - D8 ピンに Apple II Keyboard B1 - B7 を、D9 ピンに STROBE 信号を割り当てました。 リセットキーは、Tang Nano の S2 (Pin 3) を割り当てました。 // Serial to Apple II keyboard#define b1_pin 2

Tang Nano 9K で Apple II を再現する(10)内蔵入出力

第六章 The 6502 and System Bus 回路図 C-12 と C-13 を onboard_io.sv として実装します。 …

ushicow
3か月前

Tang Nano 9K で Apple II を再現する(9)拡張スロット

第六章 The 6502 and System Bus 回路図 C-11 を peripheral_io.sv として実装します。 `def…

ushicow
3か月前
1

Tang Nano 9K で Apple II を再現する(8)ROM

第六章 The 6502 and System Bus Apple II の ROM は、最大 6 個の 2K バイトマスク ROM 9316…

ushicow
3か月前
3

Tang Nano 9K で Apple II を再現する(7)6502

第六章 The 6502 and System Bus 6502 マイクロプロセッサの FPGA での実装はいくつかありま…

ushicow
3か月前
2

Tang Nano 9K で Apple II を再現する(6) アドレスマルチプレクサ

第五章 The Memory System 後半 Apple II では、φ0 で、6502 と Video のアドレスを切り替え…

ushicow
4か月前

Tang Nano 9K で Apple II を再現する(5) メモリアレイ

第五章 The Memory System 前半 4116 DRAM を dram.sv として実装します。ロジックで組みたい…

ushicow
4か月前
2

Tang Nano 9K で Apple II を再現する(4)ビデオタイミング

第四章 Video Timing 後半 回路図 C-20 のビデオ信号生成部を video_generator.sv として実装します。 `default_nettype nonemodule a2_video_generator ( input wire color_ref_n, input wire text_mode, input wire h2, input wire h3, input wire h4, input wire