見出し画像

Tang Nano 9K で Apple II を再現する(13)ゲーム I/O

第七章 On-Board I/O

ゲームスイッチ SW0、SW1、SW2 は、抵抗で 5V を 3.3V に分圧し Tang Nano 9K の入力ポートに接続します。

ゲームパドル入力 PDL0、PDL1、DPL2、PDL3 は、タイマー IC で抵抗値に比例してパルス幅を変化させます。4 回路の 558 は入手困難なため、2 回路の 556 を二つ使いました。

アナンシエータ AN0、AN1、AN2、AN3 およびゲームユーティリティストローブ出力 /STB は、直接 Tang Nano 9K の入力ポートにつなぎます。

On-board I/O

参考文献


この記事が気に入ったらサポートをしてみませんか?