見出し画像

TSMC、2ナノは予定通り2025年に量産に入る予定。

アジア経済ニュースNNA ASIAは2023年04月28日に、ファウンドリー(半導体の受託製造)世界最大手のTSMC(Taiwan Semiconductor Manufacturing Company, Limited/台灣積體電路製造公司/台湾積体電路製造)は2023年04月27日に、最先端の2ナノメートル(nm/ナノは10億分の1)製造プロセスについて、従来計画通り2025年に量産に入る予定だと明らかにした。

TSMCの発表によると、同社は米国時間の2023年04月26日に、米国カリフォルニア州サンタクララ(Santa Clara)で技術フォーラム(2023 North America Technology Symposium)を開催。2ナノや3ナノといった最新の製造プロセスの開発状況などを報告した。

このうち2ナノは、現時点の進捗(しんちょく)は良好で、計画通り2025年に量産を開始できるとの見通しを示した。

2nm Technology Making Solid Progress – Development of TSMC’s 2nm technology employing nanosheet transistors is making solid progress in both yield and device performance, and is on track for production in 2025. It will provide up to 15% speed improvement over N3E at the same power, and up to 30% power reduction at the same speed, and greater than 1.15X chip density.

既に量産に入った3ナノについては、強化版の「N3E」が年内に量産に入る計画。
エネルギー消費や密度を向上した「N3P」は2024年下半期(07~12月)、HPC(High Performance Computing/ハイパフォーマンスコンピューティング)向けの「N3X」は2025年をめどにそれぞれ量産を開始する。
N3Eをベースに車載半導体の回路設計情報をまとめた「N3AE」は、年内の発表を予定している。

Broader 3nm Portfolio: N3P, N3X, and N3AE – With 3nm technology now in volume production with the N3 process and the enhanced N3E version on the way in 2023, TSMC is adding new variants to the roadmap to suit customers’ diverse needs.
⚫ N3P, scheduled to enter production in the second half of 2024, offers an additional boost to
N3E with 5% more speed at the same leakage, 5-10% power reduction at the same speed, and
1.04X more chip density.
⚫ N3X, which prioritizes performance and maximum clock frequencies for HPC applications,
provides 5% more speed versus N3P at drive voltage of 1.2V, with the same improved chip
density as N3P, and will enter volume production in 2025.
⚫ N3AE, or “Auto Early”, available in 2023, offers automotive process design kits (PDKs)
based on N3E, and allows customers to launch designs on the 3nm node for automotive applications, leading to the fully automotive-qualified N3A process in 2025.

2023年04月27日---韓国のサムスン電子の2023年1~3月期の連結決算、営業利益が前年同期比95.5%減
2023年04月10日---TSMCの2023年03月売上高、1年5カ月ぶり低さ。
2023年02月13日---TSMCの2023年01月売上高、同月の過去最高。
2022年12月09日---台湾のTSMC、3ナノ量産開始。
2022年12月09日---台湾のTSMCの2022年11月売上高、単月の過去最高。
2022年10月07日---台湾のTSMCの2022年09月の売上高、過去2番目の高水準。
2022年10月05日---サムスン電子、5年後の2027年に1.4ナノ量産開始。
2022年09月28日---アップル、TSMCのチップ価格の値上げを要求を拒否。
2022年08月23日---台湾の国際半導体製造装置材料協会、人材の就業支援計画を始動。
2022年08月05日---台湾の国際貿易。
2022年06月29日---超党派イノベーション法の成立で、3社がチップ資金調達の遅延を警告。
2022年06月22日---AI、5G、メタバースが台湾を襲い。半導体人材の不足深刻。
2022年05月31日---日本のデジタル化は経済リバウンドの原動力となり得るか!?
2022年05月31日---台湾のTSMC、世界の特許出願数7万5000件突破。
2022年05月12日---コロナで大混乱の上海、SMICの1Q決算は純益2.8倍。
2022年05月10日---台湾のTSMC、2022年04月売上高、過去最高を更新。
2022年04月25日---AppleがM3 iMacを開発中と関係者が主張‏。
2022年04月14日---台湾のTSMC、2022年第一四半期の売上高2.1兆円。純利益も最高!
2022年04月14日---台湾のTSMC、年内に、「3ナノ品」の半導体量産を始める。
2022年03月15日---米国のインテル、生産能力拡大に330億ユーロ投資し、ドイツに大規模工場設置。
2022年03月15日---チップ・デザイナーArmの断末魔、Nvidiaへの売却失敗で人員削減を計画。
2022年02月22日---米国の半導体大手インテル、向こう2年以内にTSMCの3大顧客?!
2022年02月10日---台湾のTSMCの2022年01月売上高、単月最高を更新。
2022年02月08日---EUが半導体新法を提案、台湾のTSMCとの連携を希望。
2022年01月31日---Beyond Basic Beta Channelの半導体関連銘柄の選択眼。
2022年01月18日---湾のTSMCは、ウェハー倉庫の自動ハンドリングシステムを開発。
2021年12月02日---台湾の2021年第3四半期ファウンドリー売上高。
2021年11月23日---米国と台湾、チップ供給と「経済的強制力」について協議。
2021年11月20日---北京は台湾を武力で奪うことを急いでいない。
2021年11月08日---台湾のTSMCの21年売上高、US$566億規模か。
2021年11月05日---台湾のUMCの10月売上高。単月過去最高を記録。
2021年10月26日---アップルはM2ができていないのに、TSMCは5ナノ強化版発表!
2021年10月18日---半導体検査事業を手掛ける台湾の閎康科技、名古屋の日本拠点拡充。
2021年10月11日---台湾のTSMC、熊本県に新工場を建設する方針で固まった。
2021年10月06日---中国との緊張関係の中、フランスの上院議員が台湾に戦闘機で到着。
2021年10月06日---やっぱり起こった車載半導体に買いだめの動き。
2021年08月04日---台湾のTSMCの時価総額、テンセント抜きアジア首位!
2021年06月10日---台湾のTSMC、日本で初めて熊本で半導体工場検討。
2021年06月02日---台湾のTSMC、さらに加速!もう誰も追いつけない。
2021年04月14日---台湾に集中で懸念される世界の半導体業界の真っ只中、TSMCで停電発生。
2021年04月12日---台湾の半導体、1年停止で50兆円打撃。
2021年03月24日---台湾の台中・苗栗で、2021年04月06日から水事情信号「赤」に引き上げ!
2020年12月18日---トランプ政権。中国最大のファウンドリー「SMIC」禁輸措置。
2020年11月24日---台湾の半導体4社は、大規模人材募集と、人材争奪戦を勃発。
2020年11月23日---台湾のTSMC、中国の南京工場が拡張、来年月産2万枚に体制。
2020年09月22日---米中ハイテク戦争、半導体をめぐる戦い。
2020年09月21日---台湾のファウンドリー、中国企業から受注急増?チップ戦争勃発!
2020年06月22日---Apple、パソコン用CPUをインテルから、自社開発半導体に変更。
2019年12月19日---米国のIBMと東京大学、「量子コンピューター」で連携。

https://www.nna.jp/news/2512835
https://www.nikkei.com/article/DGXZQOGM172W30X10C22A6000000/
https://www.tsmc.com/japanese/dedicatedFoundry/manufacturing/gigafab
https://pr.tsmc.com/japanese/news/3021
https://pr.tsmc.com/system/files/newspdf/attachment/af320740c347534184a5705ac01982e22e743978/2023 Tech Symposium (E)_final_wmn.pdf

この記事が気に入ったらサポートをしてみませんか?